Semestar: 2
ECTS: 6
Status: Obavezan
Fond: 3+0+1
Duplikat: Ne
ECTS katalog

Ishodi učenja:

Nakon položenog ispita, očekuje se da će student biti u mogućnosti da: 1. Razlikuje tehnologije za implementaciju digitalnih kola; 2. Argumentuje razloge za upotrebu jezika za opis hardvera (HDL); 3. Opiše domene modelovanja digitalnih sistema; 4. Opiše arhitekturu FPGA kola; 5. Opiše tok procesa dizajna digitalnog sistema; 6. Razlikuje metodologije dizajna „odozgo ka dolje“ i „odozdo ka gore“; 7. Projektuje digitalni sistem koristeći Verilog jezik za opis hardvera; 8. Generiše stimulus blok za testiranje funkcionalnosti projektovanog digitalnog sistema; 9. provjeri ponašanje projektovanog digitalnog sistema koristeći ISE Design Suite simulator; 10. implementira digitalni sistem na Xilinx FPGA čipu koristeći ISE Design Suite razvojno okruženje.

Angažovano osoblje

Ime Predavanja Vježbe Laboratorija
MILUTIN RADONJIĆ3x1
7S+4P
1x1
7S+4P

Prijava na DL platformu

Termin početka nastave

Uvodno predavanje

ECTS katalog

Domaći zadaci